diff options
author | Bobby Bingham <koorogi@koorogi.info> | 2017-01-08 14:31:29 -0600 |
---|---|---|
committer | Bobby Bingham <koorogi@koorogi.info> | 2017-01-08 22:02:28 -0600 |
commit | 06089575a8624e6080094a02758dbf724b2fe819 (patch) | |
tree | 255815bdc0d3c92e25d792cb9d3658d806a0ea29 /regFile_tb.v | |
parent | f8d09347a43ddab462d94f716e0a6f249e2e6635 (diff) |
Define macro to simplify dumping of waves
Diffstat (limited to 'regFile_tb.v')
-rw-r--r-- | regFile_tb.v | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/regFile_tb.v b/regFile_tb.v index 0df3e48..d0da481 100644 --- a/regFile_tb.v +++ b/regFile_tb.v @@ -1,3 +1,4 @@ +`include "testbench.vh" `timescale 1 ns / 100 ps module regFile_tb (); @@ -12,8 +13,7 @@ module regFile_tb (); wire [15:0] dataB; initial begin - $dumpfile("regFile_tb.vcd"); - $dumpvars(0, regFile_tb); + `DUMPWAVE(regFile_tb) clk = 1'b0; |