diff options
Diffstat (limited to 'regFile_tb.v')
-rw-r--r-- | regFile_tb.v | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/regFile_tb.v b/regFile_tb.v index 0df3e48..d0da481 100644 --- a/regFile_tb.v +++ b/regFile_tb.v @@ -1,3 +1,4 @@ +`include "testbench.vh" `timescale 1 ns / 100 ps module regFile_tb (); @@ -12,8 +13,7 @@ module regFile_tb (); wire [15:0] dataB; initial begin - $dumpfile("regFile_tb.vcd"); - $dumpvars(0, regFile_tb); + `DUMPWAVE(regFile_tb) clk = 1'b0; |