summaryrefslogtreecommitdiff
path: root/alu_tb.v
diff options
context:
space:
mode:
authorBobby Bingham <koorogi@koorogi.info>2017-01-07 15:27:20 -0600
committerBobby Bingham <koorogi@koorogi.info>2017-01-08 22:02:28 -0600
commit735258adcb9a61bb7e60b4fe5d31fd5add1a0e13 (patch)
treee2fa586042d577ed764fcfdb3634185f7c476b08 /alu_tb.v
parent050efe85e0f5a0ee97962461cc8e617c53f770bf (diff)
Make simulations in icarus verilog useful
Diffstat (limited to 'alu_tb.v')
-rw-r--r--alu_tb.v5
1 files changed, 4 insertions, 1 deletions
diff --git a/alu_tb.v b/alu_tb.v
index de9fe37..6afafbc 100644
--- a/alu_tb.v
+++ b/alu_tb.v
@@ -12,6 +12,9 @@ module alu_tb ();
reg expected_carry;
initial begin
+ $dumpfile("alu_tb.vcd");
+ $dumpvars(0, alu_tb);
+
x = 16'h0123;
y = 16'h1234;
@@ -60,7 +63,7 @@ module alu_tb ();
expected_result = 16'hD3B3;
#5
- $stop;
+ $finish;
end
alu alu_inst (